MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
MyBB Internal: One or more warnings occurred. Please contact your administrator for assistance.
neoTextil 8.1.1

Thread Rating:
  • 0 Vote(s) - 0 Average
  • 1
  • 2
  • 3
  • 4
  • 5
neoTextil 8.1.1
#1
Pls mail to: yamile5678#hotmail.com change # into @
=========


Etap.PowerStation.v7.5-ISO
ALPI Caneco One Suite 2019 Win32_64
Caneco BT 2018 v5.8.0.build 153
Caneco HT 2017 v2.7.1.build 20
Memresearch EM3DS v2008
SimLab.Suite.2008
Sisoft Quantum-SI 2008.10 SP4
Paladin DesignBased v5.1-ISO
Altair.PSIM.2022.2.0.Win64
PSIM v9.1.4.400 Professional Win32
PSIM Professional v9.1.1.400 & PsimBook Win32
Powersim.Studio.Express.v7.00.4226.6
Keysight PathWave Advanced Design System (ADS) 2023 Update 2 Win64
Keysight PathWave Advanced Design System (ADS) 2022 Update 1 Win64
Keysight PathWave Advanced Design System (ADS) 2022 Update 1.2 Only Win64
Keysight PathWave Advanced Design System (ADS) 2023 Update 1 Linux64
Keysight PathWave EM Design (EmPro) 2022 Update 0.1 Win64
Keysight PathWave EM Design (EmPro) 2022 Win64
Keysight EP-Scan 2023 v1.0.0 Win32_64
Keysight BenchVue 2020 Windows
Keysight Technologies (ex. Agilent) PathWave RF Synthesis Genesys 2023 Win64
Keysight.IC-CAP.2020.2.Win64
Keysight.PathWave.IC-CAP.2020.Update2.0.Linux64
Keysight M9099T Waveform Creator v3.2.0
Keysight.MBP.2020.1.Win64
Keysight Model Builder Program (MBP) 2020 & Update 2.1 Linux64
Keysight.MQA.2020.1.Win64
Keysight Model Quality Assurance
Keysight Network Analyzer 2022 v15.75.19 Win64
Keysight Signal Studio 2021 v1.0.0
Keysight PathWave Signal Generation (PWSG) Desktop Software v1.0.0
Keysight Technologies (ex. Agilent) PathWave SystemVue 2023 Win64
Keysight.SystemVue.2020.1.Win64
Keysight WaferPro Xpress 2020.1 Win64
Keysight Suite 2019 (2019-04-02) Win64
GoldenGate 2022 Update1.0 Linux64
Agilent.89600.Vector.Signal.Analyzer(VSA).2020u1
Agilent.89600.Vector.Signal.Analyzer(VSA).v12.02-ISO
Keysight 89600 VSA-WLA 22.21 Software Win64
Agilent.Electromagnetic.Professional(EMPro).2015.01.Win32_64
Agilent.Electromagnetic Professional(EMPro).2015.01 Linux32_64-ISO
Agilent RF Design Environment(RFDE) 2008 linux
Agilent.Antenna.Modeling.Design.System(AMDS).v2007.06
Keysight Advanced Design System (ADS) 2017 CHN Win64
Agilent.Advanced.Design.System(ADS).2016.01.Windows
Agilent.Advanced.Design.System(ADS).2016.01.CHS.Linux64
Agilent.Advanced.Design.System(ADS).v2015.01.Linux
Agilent EMDS 2006B
Keysight.FlexDSA.A.05.63.22.Win32_64
Agilent.GeneSpring.GX.v11.5.Win32_64
Keysight.Genesys.2022.Win64
Agilient Genesys 2018.0 Win64
Agilent.Genesys.v2015.08.Win64
Agilent Genesys 2014.04 Win32
Agilent Genesys 2012.01 Win32_64
Keysight.GoldenGate.RFIC.Simulation.2022.Linux64
Agilent.GoldenGate.RFIC.Simulation 2020 Linux
Agilent.GoldenGate.RFIC.Simulation.2015.01.v4.9.0.Win64
Agilent.GoldenGate.RFIC.Simulation.2015.01.v4.9.0.Linux
Agilent GoldenGate RFIC Simulation Software 2013.10 Linux64
Agilent GoldenGate v4.4.9 Linux
Keysight Suite 2017 Win32_64
Keysight Model Builder Program
Agilent Model Builder Program
Agilent Model Quality Assurance
Agilent Physical Layer Test System (PLTS) 2014
Agilent WaferPro Express 2016.04 HF1 Win64
Keysight WaferPro Express 2016.04.HF2 Win64
Agilent WaferPro Express 2015.01 CHS Win64
Agilent WaferPro Express 2014.04 Win32
Keysight SystemVue 2020 ENG Win64
Keysight SystemVue 2018 CHS Win64
Keysight SystemVue 2018 ENG Win64
Agilent Technologies SystemVue 2015.01 Win32_64
Keysight SystemVue 2016.08
Agilent IC-CAP 2016.01 Win64
Agilent.IC-Cap.v2014.04.Win32
Agilent.IC-Cap.v2009.Win32 & Win64-ISO
Agilent.IC-Cap.v2009.Linux32-ISO
SIMetrix SIMPLIS v8.4b Win64
SIMetrix 8.00g with DVM and Verilog for SIMPLIS Win64
SIMetrix 8.20h with DVM and Verilog for SIMPLIS Update
SIMetrix Simplis v8.00g Win64
Simetrix Simplis v5.50
Agilent.VEE.Pro.v9.0
Agilent Heatwave 2014.Linux
Agilent.89600.Vector.Signal.Analyzer.v8.0-ISO
Agnisys IDesignSpec v4.12.9.0
Ansys Electromagnetics Suite v16.0 Win64
Ansoft HFSS v15.0 win32_64 Full-ISO
Ansoft HFSS v19.1 Linux64
Ansoft HFSS Antenna Design Kit v2.15 for HFSS v14.0-15.0
Ansys Maxwell 3D v16.03 Win32_64-ISO
Ansys Maxwell 3D v16.03 Linux
Ansoft Designer and Nexxim v8.0 Full-ISO
Ansoft Designer 7.0.1 Update Only
Ansoft ECAD v6.0
Ansoft Ephysics v3.1 WinALL-ISO
Ansoft Ensemble v8.0
Ansoft Links 6.0-ISO
Ansoft Links v6.01 Update Only
Ansoft PExprt v7.0.20-ISO
Ansys Q3DExtractor v12.0 Win32_64-ISO
Ansoft Rmxprt v12.1-ISO
Ansoft.Serenade.Densign.Environment.v8.71
Ansoft.SIWave.v7.0 Win32_64-ISO
Ansoft Simplorer v11.0-ISO
Ansoft Spicelink v5.0-ISO
Ansoft.Turbo.Package.Analyzer(TPA).v8.0-ISO
Ansys Totem v19.2.7 Lniux64
Ansys Redhawk v2021.R1.1 Linux64
Ansys Redhawk Expoler v2020 R2.1 Linux64
Apache Design Solutions RedHawk v19.0.3 Linux64
Apache Design Solutions Redhawk 2019 R2.8 Linux64
FutureFacilities.6SigmaDC.R9.0 Win32_64
Speed v2011.0-ISO
Magneforce v4.0 Windows
Opera v12.003
Samcef For Wind Turbines v1.1-ISO
JMAG.Designer.18.1.Win64
JMAG-Designer v17.1 Windows & Linux
JMAG Designer 16.0 Win64 & Linux64
JMAG-Designer 14.0.01t Win64 & Linux64
Jmag Designer 12.0 Win64-ISO
JMAG-Designer v11.0 Win32_64-ISO
Siemens Simcenter MotorSolve 2021.1.0 Win64
Infolytica MagNet v7.4.1.4 Win32
InfoLytica.MagNet.v7.1.1
Siemens.Simcenter.Motorsolve.2020.2.Win64
Silvaco AMS v2010.00 Win32
Silvaco Iccad 2008.09
Silvaco Logic 2008.09
Silvaco TCAD 2019 Win64 & Linux64
Silvaco TCAD 2014.00 Win32
Silvaco TCAD 2012.00 Win32_64
Actel.Designer.v8.3.SP1.Windows-ISO
Actel.Flashpro.v8.4-ISO
Actel.Libero.IDE.Platinum.SP1.v8.6.2.10
Actel.Libero.IDE.v8.4.Windows-ISO
Spec-TRACER.2013.12.Windows
Synopsys ASIP Designer vS-2021.12 Win64 & Linux64
Synopsys ASIP Designer vO-2018.09 SP3-1 Win64 & Linux64
Synopsys Asip Lab 2018 Linux
Synopsys Astro vZ-2007.03 SP10 Linux
Synopsys CATS vJ-2014.06 SP4 Linux
Synopsys Certify vG-2012.09 Win32
Synopsys Coretools vR-2020.12 SP4 Linux
Synopsys Coretools vK-2015.06 SP5 Linux32_64
Synopsys CoreTools vJ-2014.12 SP1 Linux32_64
Synopsys SYN vT-2022.03 SP2 Linux64
Synopsys Core Synthesis Tools(syn) vO-2018.06-SP1 Linux64
Synopsys Core Synthesis Tools vJ-2014.09 SP3 Linux64
Synopsys.Cosmoscope.vJ-2015.03.Windows
Synopsys Cosmoscope vB-2008.09 SP1 Linux64
Synopsys CoCentric System Studio(CCSS) vE-2010.12 Linux
Synopsys CSS vC-2009.03 SP1 SparcOS5
Synopsys CSS vC-2009.03 SP1 GccsparcOS5
Synopsys Customcompiler vO-2018.09-SP1 Linux64
Synopsys.Customdesigner vC-2009.06 Linux
Synopsys.CustomExplorer.vK-2015.06.Windows
Synopsys.CustomExplorer.vH-2013.03.SP2.WinALL
Synopsys.CustomExplorer.vK-2015.06.Linux64
Synopsys.CustomExplorer.vH-2013.03.SP2.Linux32_64
Synopsys.CustomExplorer.vK-2015.06.SI32
Symopsys CustomSim (XA) vO-2018.09-SP5 Linux64
Synopsys Customsim vK-2015.06 Linux
Synopsys CustomSim 2014.09 SP4 Linux64
Symopsys CustomSim (XA) 2013 Linux32_64
Synopsys Custom WaveView(WV) vS-2021.09 Windows
Synopsys WaveView vS-2021.09 Linux64
Synopsys Wave View vO-2018.09-SP2 Windows
Synopsys Wave View vO-2018.09-SP2 Linu64
Synopsys Custom wv adv vQ-2020.03 Linux64
Synopsys Custom WaveView vQ-2020.03.SP1 Windows
Synopsys Custom WaveView vP-2019.06.Win64.&.Linux64
Synopsys Custom Waveview vL-2016.06-SP1 Linux64
Synopsys Core Synthesis Tools(syn) vK-2015.06 Linux64
Synopsys Design Compiler 2019.03 SP3 Linux
Synopsys dorado tweaker ECO TweakerSuite vR-2020.09-SP1 Lninux
Synopsys (Design Complier) Syn vH-2013.03 Linux32_64
Synopsys Design Compiler 2008.09 Linux
Synopsys DesignWare Extract v2.00 Linux64
Synopsys DFT Compiler 1 v2006.06 Linux
Synopsys Embedit vQ-2020.03 SP1 Linux
Synopsys Embedit vP-2019.06 SP1 Linux
Synopsys Embedit Integrator vJ-2014.12 SP1 Linux32_64
Synopsys.ESP.vG-2012.06.Linux32_64
Synopsys Euclide 2020.12 SP1 Linux
Synopsys.Finesim.vO-2018.09.SP2.Linux64
Synopsys Finesim(FSIM) vK-2015.06 Linux64
Synopsys FM vQ-2019.12 SP2 Linux64
Synopsys Formality vO-2018.06 SP1 Linux64
Synopsys Formality.vE-2010.12 SP2 Linux
Synopsys Formality vJ-2014.09 SP3 Linux64
Synopsys Fpga vT-2022.09 SP2 Windows
Synopsys Fpga vT-2022.09 SP1 Linux
Synopsys FPGA vP-2019.03-SP1 Windows
Synopsys Fpga vN-2018.03 SP1 Windows & Linux
Synopsys FPGA Synthesis Products vJ-2015.03 SP1.Windows
Synopsys Fpga Synthesis vG-2012.09.SP1 Windows
Synopsys FPGA Synthesis Products vI-2014.03 Windows
Synopsys Galaxy Constraint Analyzer vH-2013.06 SP1 Linux
Synopsys Galaxy Custom Designer 2012.09-SP1 Linux32_64
Synopsys GENUS 19.11.000 ISR1 Linux
Synopsys Hsimplus vC-2009.06 WinALL
Synopsys Hspice vT-2022.06-1 Windows
Synopsys HSpice vS-2021.09 Windows
Synopsys HSPICE vP-2019.06-SP1-1 Windows
Synopsys.Hspice.vO-2018.09.SP2.Windows
Synopsys Hspice vR-2020.12.SP1.Linux64
Synopsys Hspice vK-2015.06.Linux32_64
Synopsys IC Compiler II vT-2022.03 Linux64
Synopsys IC Compiler II vR-2020.09 SP1 Linux64
Synopsys IC Compiler II (ICC2) vP-2019.03 SP1 Linux64
Synopsys ICC2 vO-2018.06 SP1 Linux64
Synopsys IC Compiler II (ICC2) vO-2018.06 SP1 Linux64
Synopsys IC Compiler II vK-2015.06 Linux64
Synopsys IC Compiler vP-2019.03 SP2 Linux64
Synopsys IC Compiler vO-2018.06 SP1 Linux64
Synopsys IC Compiler vH-2013.03 Linux32_64
Synopsys.IC Validator.vQ-2019.12.SP2.Linux.64
Synopsys ICworkbench Icwbev Plus vO-2018.06-SP2 Linux64
Synopsys IC WorkBench
Synopsys Identify vT-2022.09 SP1 Windows
Synopsys Identify vT-2022.09 SP1 Linux
Synopsys Identify vN-2018.03 SP1 Windows & Linux
Synopsys Identify vL-2016.03-SP1 Windows & Linux
Synopsys Identify vH-2012.12 Win32
Synopsys Power Fault IDDQ(idq) vK-2015.06 Linux64
Synopsys ISE TCAD v10.0 Linux
Synopsys Jupiter vY-2006.06 SP1 Linux
Synopsys Leda vI-2014.03 SP1 Linux64
Synopsys LEDA vH-2013.03 Linux
Synopsys Lib Compiler vR-2020.09 SP3 Linux64
Synopsys Magellan vB-2008.09 Linux
Synopsys Siliconsmart vO-2018.09 Linux64
Synopsys SiliconSmart vL-2016.03 Linux32_64
Synopsys MW vS-2021.06 SP2 Linux64
Synopsys Milkway(MW) vL-2016.03 SP1 Linux64
Synopsys Milkyway vJ-2014.09 SP3 Linux64
Synopsys Milkyway vG-2012.06 SP3 Linux32_64
Synopsys MVtools vH-2013.06 Linux32_64
Synopsys.Mvtools.vJ-2014.12.SP1.Linux64
Synopsys Ncx vB-2008.12 Linux
Synopsys Platform Aarchitecture vJ-2015.03 Linux
Synopsys Powerprime vO-2018.06 Linux
Synopsys PrimePower StandAlone Tool vO-2018.06 Linu64
Synopsys Primerail vA-2008.12.SP1 Linux
Synopsys primerail vD-2010.06 SP1 LinuxIA32
Synopsys PrimeSim vS-2021.09 Linux64
Synopsys PT vR-2020.09 SP4.Linux64
Synopsys Primetime vD-2009.12 SP3 Linux
Synopsys PT vO-2018.06 SP1 Linux64
Synopsys PrimeTime StandAlone(PT) vP-2019.03 Linux64
Synopsys PrimeTime StandAlone tool vP-2019.03 Linux
Synopsys PrimeTime StandAlone(PTS) vO-2018.06 SP1 Linux64
Synopsys pts vP-2019.03 Linux64
Synopsys.PTS.vH-2013.06.Linux32_64
Synopsys Pycell Studio 2014.09 Windows
Synopsys Saber vP-2019.06 Win64
Synopsys Saber vL-2016.03 Windows
Synopsys Saber vJ-2015.03 Linux
Synopsys Saber vI-2013.12 Linux
Synopsys Saber vI-2013.12 Windows
Synopsys Saber vE-2011.03 WinALL
Synopsys SaberRD vJ-2015.03 Windows
Synopsys SaberRD vD-2011.03.Win32
Synopsys SaberHDL Y-2006.06 WinALL
Synopsys Sentaurus TCAD 2022.12 Linux
Synopsys Sentaurus TCAD vO-2018.06 SP2 Linux64
Synopsys.Sentaurus.vG-2012.06.SP2.Linux
Synopsys.Sentaurus.vH-2013.03.Linux64
Synopsys Spyglass vT-202206 Linux64
Synopsys SpyGlass vP-2019.06 SP1 Linux
Synopsys SPW vE-2010.12 Win32
Synopsys SPW vE-2010.12 Linux
Synopsys ssd vA-2007.09 Linux
Synopsys STARRC vO-2018.06 Linux
Synopsys STARRC vK-2015.06 Linux64
Synopsys Spice Explorer 2012.06.SP1.WinALL
Synopsys Syn(DC) vR-2020.09 SP4 Linux64
Synopsys Syn vO2018.06 SP1 Linux64
Synopsys Synplify vP-2019.03 SP1 Windows
Synopsys Synplify vL-2018.03 Windows & Linux
Synopsys Synplify vL-2016.03-SP1 Windows & Linux
Synopsys Synplify vK-2015.09 Windows
Synopsys Synplify vJ-2015.03 SP1 Windows
Synopsys Synthesis Tools vO-2018.06 SP1 LinuxAMD64
Synopsys Sentaurus TCAD 2016-2017 VM Linux
Synopsys TCAD Sentaurus vD-2010.03.Linux
Synopsys TCAD Sentaurus vI-2013.13 LinuxAMD64
Synopsys Testmax vR-2020.09 SP3 Linux64
Synopsys TetraMAX Overlay with Synthesis(tx) vK-2015.06 Linux64
Synopsys TetraMax vJ-2014.09 SP3 Linux64
Synopsys TetraMAX StandAlone(txs) vK-2015.06 Linux64
Synthesis Tools tool vZ-2007.03 SP1 Linux
Synopsys Waveform Viewer wv vQ-2020.03 Linux64
Sentaurus vX-2005.10 SP1 Linux
Synopsys Star-HSpice v2006 03 SP1
Synopsys.Star-Rcxt vB-2008.12 SP2 Linux
synopsys.Vera.vI-2014.03.Linux32_64
Synopsys Verdi vT-2022.06 Linux32_64
Synopsys Verdi vR-2020.12 SP1 Linux64
Synopsys Verdi3.vJ-201412.SP2.Linux32_64
Synopsys VC-Static vS-2021.09 Linux64
Synopsys VC-Static vQ-2020.03 Linux
Synopsys.VCS.v6.0.1.WinNT_2k
Synopsys VCS vT-2022.06 Linux64
Synopsys VCS vQ-2020.03 SP2 Linu32_64
Synopsys VCS vM-2017.03-SP2 Linux32_64
Synopsys VCS-MX vO-2018.09 SP2 Linux64
Synopsys VCS MX vN-2017.12 SP2 Linux64
Synopsys VCS-MX.vH-2014.03.Linux32_64
DVE 2019.06.1 For VCS 2019 Linux64
Synopsys Synplify Pro vH-2013.03 Window
Synplify DSP v3.6
Synplify.Premier.v9.61 Linux
Synplify.Premier.v9.6.2.with.Identify.v3.02
Virtio VPAI 2.0 Platform
Bosch.Rexroth.Indraworks.v7.04-ISO
Intercept Pantheon 6.0.04B Win32
Design Spice Explorer v2007.1
Design Spice Explorer v2003.1 Linux
Tanner.L-EDIT.pro.with.LVS.v10.0-ISO
Tanner EDA Tools v16.01 Win64
TannerTools v2019.2 Win64 & Linux64
TannerTools v16.3 Win64
Tanner Tools v15.01
Amtech.v2006-ISO
CIM-Team DDS-C R12
Valor Genesis v10.2
Valor Genesis v9.7
Valor Genesis v9.2c
Valor Genesis2000 v8.0a WinNT4_2K
Valor Enterprise 3000 v7.2.4
Cadence 6SigmaET Celsius EC Solver 2023.1
Cadence.ADW.v16.60.015.Linux
Cadence Altos v12.12.000 Linux
Cadence.AMS.Methodology.Kit.6.12.Linux
Cadence ANLS v07.10.003 Linux
Cadence ASI v16.64.002 Win32_64
Cadence ASI 16.63.000 Update Only Win32_64
Cadence.ASI.v16.62.000.Update.Only.Linux
Cadence ASI 16.62 Update Only Win64
Cadence ASI v16.61 Update Only Win32_64
Cadence ASSURA 6.16.04.14.001 Linux
Cadence ASSURA v6.15.04.12.017 Linux
Cadence.Assura v4.10.002 Linux
Cadence Assura v3.13 for IC4.46 Linux-ISO
Cadence AWR Design Environment v17.0.17415.1 Win64
Cadence SPB Allegro and OrCAD 2022 v22.10.000 Win64
Cadence SPB Allegro and OrCAD 2022 v22.10.003 Hotfix Only Win64
Cadence SPB/OrCAD (Allegro SPB) v17.40.000-2019 Win64
Cadence SPB Allegro and OrCAD 2022 v17.40.031 Hotfix Only Win64
Cadence SPB Allegro and OrCAD 2021.1 v17.40.027-2019 Hotfix Only Win64
Cadence SPB Allegro and OrCAD 2021.1 v17.40.020-2019 Hotfix Only Win64
Cadence SPB Allegro and OrCAD 2021.1 v17.40.019-2019 QIR3 Hotfix Only Win64
Cadence SPB Allegro and OrCAD 2021 v17.40.017-2019 Hotfix Only Win64
Cadence SPB Allegro and OrCAD v17.40.006-2019 Hotfix Only Win64
Cadence Allegro and OrCAD (Including EDM) v17.20-2016 Win64
Cadence.Allegro.and.OrCAD.v17.20.056.Hotfix.Only
Cadence Allegro and OrCAD 17.20.052 Hotfix Only
Cadence Allegro and OrCAD (Including EDM) v17.20.000-2016 HF042 Update Only Win64
Cadence Allegro and OrCAD 17.20.000-2016 HF045 Update
Cadence SPB 17.20.000 Linux
Cadence SPB 17.20.007 Hotfix Only Linux
Cadence.OrCAD.Allegro.v17.20.030.Hotfix.Only
Cadence OrCAD and Allegro 17.20.049 Hotfix Only
Cadence SPB/OrCAD (Allegro SPB) v17.00-ISO
Cadence Allegro and OrCAD (Including ADW) 17.00.001 Hotfix
Cadence SPB/OrCAD (Allegro SPB) v17.00.001.Hotfix.1 Windows
Cadence Allegro and OrCAD (Including ADW) v17.00.005 Hotfix
Cadence SPB/OrCAD (Allegro SPB) v16.60-ISO
Cadence SPB/OrCAD (Allegro SPB) v16.60.018 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.014 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.013 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.012 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.011 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.010 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.008 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.007 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.006 Update Only
Cadence SPB/OrCAD (Allegro SPB) v16.60.003 Update Only
Cadence OrCAD Library Builder & Documentation Editor v16.6 Win32
Cadence Allegro and OrCAD (Including EDM) 17.20.007 Linux
Cadence SPB/OrCAD (Allegro SPB) v17.00.0 Linux
Cadence SPB/OrCAD (Allegro SPB) v16.30.019 Linux
Cadence.Allegro.PCB.Design.v16.2-ISO
Cadence.Allegro.PCB.v16.20.014 Update Only
Cadence.BSIMProPlus.v5.1
Cadence C-to-Silicon Compiler (CtoS) Product v11.10 Linux
Cadence CAP v22.10.000 Linux
Cadence CEREBRUS v22.10.000 Linux
Cadence.CICE.v05.01.000.Linux
Cadence Clarity 2019 v19.00.000
Cadence Conformal v19.20 Linux
Cadence Conformal v15.20.100 Linux
Cadence Digital Design Implementation (DDI) System ™ Release v22.10.000 Linux
Cadence Encounter EDI v14.2 Linux
Cadence.EDI-ISR3.v13.23.000.Linux
Cadence EDI v13.12.000 Linux
Cadence EMGR v08.02.001 Linux
Cadence Encounter RTL Compiler v9.10.100 Linux
Cadence Encounter timing system
Cadence Encounter Test 15.12.000 Linux
Cadence Encounter Test
Cadence EXT v19.10.000 Linux
Cadence EXT 18.21.000 ISR1 Linux
Cadence.EXT.v13.20.157.Linux
Cadence Fidelity 2022.2 v22.20.000 Windows
Cadence Fidelity 22.20.000 Linux
Cadence Design Systems Fidelity Pointwise 22.20.002 Win64
Cadence Fidelity Pointwise 22.10.002 Linux
Cadence FineMarine v11.01.000 Windows
Cadence FineMarine 2022 v11.01.000 Linux
Cadence Fineturbo 17.10.001 Win64
Cadence FINETURBO v17.10.001 Linux
Cadence FineOpen 11.10.001 Win64
Cadence Forte CynThesizer 05.03.400 Linux
Cadence GENUS v20.10.000 Linux
Cadence GENUS 15.2 Linux
Cadence ICADVM v20.10.170 Hotfix Linux
Cadence ICADVM 20.0 Linux
Cadence JASPER v22.09.001 Linux
Cadence Jaspergold v20.03 Linux
Cadence JasperGold v2015.12 Linux
Cadence JLS v21.16.000 ISR6 Linux
Cadence Joules Power v19.13.000 ISR3 Hotfix Linux
Cadence Joules v19.13 Linux
Cadence KMC v04.14.000 Linux
Cadence Midas Safety 2023.3 build 23.03
Cadence MIDAS 22.09.001 Windows
Cadence (Numeca) OMNIS v5.2 Win64
Cadence PDK Automation System (PAS) Release v03.05.003 Linux
Cadence PAS v3.1 Linux
Cadence.Pcell.PAS.v3.1.Linux
Cadence PEGASUS v22.11.000 Linux
Cadence PEGASUSDFM v22.12.000 Linux
Cadence PSD 15.1-ISO
Cadence PVE v12.10.488 Linux
Cadence PVS v22.20.000 Linux DVD
Cadence PVS 20.11.000 ISR1 Linux
Cadence PVS 16.13.000 ISR3 Linux
Cadence Physical Verification System(PVS) v10.1 Linux
Cadence Physical Verification System(PVS) v10.12.155 Update Only Linux
Cadence.RC.v12.22.000.Linux
Cadence RFKIT v8.1 Linux
Cadence Pointwise v18.60.003 Win64
Cadence.SPB.v16.3.Linux
Cadence.TTI.v01.30.001.Linux
Cadence Spectre v21.10.058 Linux
Cadence Spectre X Simulator v19.1 Linux
Cadence SPECTRE 19.10.064 Linux
Cadence Spectre v17.10.124 Linux
Cadence MMSIM 15.10.385 Linux
Cadence MMSIM v14.10.255 Linux
Cadence MMSIM v13.1 Linux
Cadence MMSIM v12.10.317 Linux
Cadence MODUS v22.10.000 Linux
Cadence MVS 15.20.000 Linux
Cadence MVS v12.11.465 Linux
Cadence IC Craftsman v11.241
Cadence IC v6.18.260 Linux
Cadence IC 6.1.8.500.2 Linux64
Cadence IC Design Virtuoso 06.18.030 Linux
Cadence IC Design Virtuoso v6.17.722 Linux
Cadence IC Design Virtuoso v6.1.6 ISR8 Linux
Cadence.IC.Design.Virtuoso.06.17.721.Hotfix.Only
UofU.Digital.v1.2 for Cadence IC v6 (OA)
Synopsys ICValidator vQ-2019.12-SP2 And IPDK PrimeSim 2021.09 Linux64
Cadence Icvalidator vQ-2019.12 SP2 Linux64
Cadence.ICADV.v12.30.700.Linux
Cadence IFV v8.20.012 Linux
CADENCE INCISIVE v15.20.001 Linux 1
Cadence INCISIVE v15.20.002 Hotfix Linux
Cadence INCISIV 14.10.014 Linux
Cadence INCISIV v13.20.002 Linux
Cadence IndagoAgile 20.12.001 Update Linux
Cadence IndagoMain v20.09.002 Update Linux
Cadence INNOVUS v21.15.000 ISR5 Linux
Cadence Innovus-ISR1 v21.11.000 Hotfix Linux
Cadence INNOVUS 19.10.000 Linux
Cadence Liberate 20.10.674 Linux
Cadence LITMUS v23.10.100 Linux
Cadence.Logic.Design.and.VerifiCation
Cadence Incisive Unified Simulator(IUS) v10.2 Linux
Cadence Xcelium Logic Simulator v20.09.01 Linux
Cadence Xcelium v18.03.001 Linux
Cadence SEV v4.1 Linux
Cadence Systems Analysis Sigrity 2022.10.200 Hotfix Only Win64
Cadence Design Systems Analysis Sigrity 2023.1 Win64
Cadence Design Systems Analysis Sigrity 2021.1 Win64
Cadence Design Systems Analysis Sigrity 2021.1.10.200 Hotfix Only Win64
Cadence Design Systems Sigrity v19.00.003-2019 Hotfix Win64
Cadence Design Systems OpenPOWER Compliance Kits for Sigrity 2017
Cadence OMNIS v05.02.001 Linux
Cadence POINTWISE v18.60.003 Linux
Cadence Quantus (EXT) 16.10.000 ISR1 Linux
Cadence Quantus QRC EXT v15.23.000 Linux
Cadence Sigrity v22.10.400 Hotfix Only
Cadence Sigrity 2016 v16.00.002 Win64
Cadence Sigrity 2016 Windows
Cadence Sigrity 2015 Win64
Cadence SSV v20.20.000 Linux
Cadence SSV v15.20.000 Linux
Cadence STRATUS v22.02.003 Update Linux
Cadence Stratus v17.10.100 Base Linux
Cadence Stratus v17.15.100 Update linux
Cadence.SWI.v13.10.001.Linux
Cadence VAPPS Agile 22.10.001 Linux
Cadence Verisium Debug Agile v22.10.071 Linux
Cadence VERISIUM MANAGER
Cadence VManagerMain v20.09.002 Update Linux
Cadence VMANAGERMAIN v19.09.003 Linux
Cadence VXE v22.04.001 ISR1 Linux
Cadence CONFRML v19.20.000 Linux
Cadence CONFRML v17.10.100 Linux
Cadence XceliumMain v20.09.009 Linux
Cadence XCELIUMMAIN 19.09.008 Linux
Cadence XCELIUMMAIN v18.03.001 Linux
Cadence ZYNQVP v11.10.055 Linux
AnimatePreview 2022.13.22.43 Linux
ASAP 7nm Predictive PDK v1p7
Ultra Librarian v7.5.114
ULTRA Librarian Gold v3.0.1034
Conformal Constraint Designer v6.1
Specctra (Allegro PCB Router) 16.6 112 Win32
Cadence.Specctra.Router.v10.2
Orcad Library Builder v16.6.62
Skillcad v4.3 C2 Linux64
skillCAD v4.3 C1 Linux64
SKILLCAD v41R Linux64
Laker.vL-2018.06.Linux64
Laker 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol
Laker v2011.06 Linux32
Laker.ADP.v2015.03.Linux32_64
Laker AMS v6.1p4 WinALL
Laker.OA.vJ-2014.09-SP1-4.Linux64
ADP 2012.07 Linux32_64 & SOL7 & SOLARIS2 & Symbol & Xlib
ICAP/4 v8.1.6 for WinAll
Intusoft Magnetics Designer v4.1.0 Build 350
CircuitCAM Pro v7.5.1
CircuitCAM v7.5
Aegis.CircuitCAM.Suite.v6.0.2.2
BoardMaster LPKF v5.1 Full
LPKF CircuitCAM 6.1.5 build 1159
ELCAD AUCOPlan 2019 v17.14 Multilanguage Win32_64
Aucotec ELCAD v7.8.0 Multilingual-ISO
Altium CircuitStudio 1.1.0 build 44421
Altium.Concord.Pro.2020.v1.1.7.76.Win64
Altium.Concord.Pro+MCAD.Plugins.v1.0.1.22
Altium Designer 23.0.1 Build 38 Win64
Altium CERN Library 2021
Altium CERN Library 2014
Altium Designer v10.818.23272 with All Plugins, Examples, Libraries
Altium Designer Winter 10 v10.600.22648 Win64-ISO
Altium NEXUS 5.6.1 Build 11 Win64
Altium NEXUS 5.5.1 Build 13 Win64
Altium NEXUS Server 1.1.4.125 Win64
Altium On-Prem Enterprise Server v5.5.1.2.Win64
Altium Protel DXP v7.2.92.With.SP3 WinNT-ISO
Altium Vault 3.0.13
Protel Dxp 2004 sp2-ISO
Protel DXP 2004 Sp4
Protel DXP 2004 Sp3
P-CAD v2006.With.SP1-ISO
P-CAD v2006.SP2
Simetrx/Simpis v4.2-ISO
InduSoft Web Studio v7.1 SP3
Tasking C166/ST10 v7.5 r2-ISO
Tasking C FOR 196_296 v6.0 R1
TASKING VX-toolset for TriCore v4.3r3
Automation Studio P6 SR9 Win32-ISO
Automation Studio 6.0.0.10932 Win32
EMCoS Studio 2017 Win64
EMCoS 2013 EM Simulation Suite
EMCoS Antenna VLab 1.01
NEC EMIStream v4.5001
EM.Cube 2013.Win32_64
XFDTD.Bio-Pro.v6.3.8.4.Win2k_XP
XFDTD v7.0
XFDTD v7.3.0.3 Win64
XGTD v2.1
Remcom XFDTD XF7 7.3.0.3 Win64
CST STUDIO SUITE 2023.01 SP1 Win64
CST Studio Suite 2023.02 SP2 Update Only Win64
CST.Studio.Suite.2022.04.SP4.Win64
CST STUDIO SUITE 2022.05 SP5 Update Only Win64
CST STUDIO SUITE 2019 Win32_64-ISO
CST STUDIO SUITE 2016 SP1 Win32_64-ISO
CST Studio Suite 2016 SP6 Update Only
CST.Studio.Suite.v2015.00.Win32_64-ISO
CST Studio Suite 2015 SP6 Update Only
CST.Studio.Suite.v2014.Win32_64-ISO
CST.Studio.Suite.v2014.SP6.Update.Only
CST.Studio.Suite.v2012.With.SP5.WinALL
CST Studio Suite 2012 SP8 Update Only
CST Studio Suite 2012 SP7 Update Only
CST Studio Suite 2012 SP6 Update Only
CST.Studio.Suite.v2008.Linux.DVD-ISO
CST Microwave Studio v5.1.3-ISO
CST.MicroStripes.2009.v8.0
CST Filter Designer 3D 2020(CoupleFil
Antenna Magus Professional 2023.0 v13.0.0 Win64
Antenna Magus Professional 2019 v9.0.0
FEST3D 2018.02.00 Win32_64
CoupleFil v1.23
APLAC v8.10
PCselCAD v10.03
PCSCHEMATIC Automation 40 v20.0.3.54
PCschematic ELautomation v9.0.6
PCschematic ELautomation v9.0
Schneider Electric SoMachine 4.1 SP1.2 Win64
Schneider Electric SoMachine v4.1.0 Win32_64
Schneider Electric Unity Pro XL v13.1 Win32_64
Schneider Electric Vijeo Citect v7.40 SP1
Sepam SFT2841 v10.0
IAR EWAVR v5.3.02-ISO
IAR Embedded Workbench for 78K v4.81.1
IAR Embedded Workbench for 8051 v10.40.1
IAR Embedded Workbench for Arm v9.30.1 (50027) with Examples Win64
IAR Embedded Workbench for ARM v8.50.9 (build 33462) with Examples Win32
IAR Embedded Workbench for Arm v9.20.4 (47112) with Examples Win64
IAR.Embedded.Workbench.for.Atmel.AVR.v5.50.1 Full
IAR Embedded Workbench for AVR v7.30.5
IAR Embedded Workbench for M16C & R8C v3.71.1
IAR.Embedded.Workbench.for.MSP430.v7.12.1
IAR Embedded Workbench for Microchip AVR v7.30.5
IAR Embedded Workbench for Renesas RX v4.20.3
IAR Embedded Workbench for Renesas RL78 v4.21.4
IAR Embedded Workbench for RISC-V v1.30.2
IAR Embedded Workbench for RL78 v3.10.1 Win32_64
IAR Embedded Workbench for Renesas RH850 v2.10.1
IAR Embedded Workbench for RH850 v14.0.1
IAR Embedded Workbench for RX v3.10.1
IAR Embedded Workbench for SuperH 2.30.1
IAR Embedded Workbench for STM8 v3.11.4
IAR Embedded Workbench for V850 v5.10.1
Flowcode 8.0.0.6 Professional Version
Nassda.Critic.v5.0.01.2005
TimingDesigner.v9.2
PCB Navigator 5.1
Telelogic.Rhapsody.v8.04.Win32_64
Telelogic.TAU.Generation2.v2.4-ISO
I-Logix.Statemate.v4.1-ISO
Homer Pro 3.14.2 Win64
HOMER.Energy.HOMER.Pro.v3.11.6561.Win64
HOMER Pro 3.11.2 Win64
VisSim.v8.0
Celoxica.Agility.Compiler.v1.3
Celoxica.DK.Design.Suite.and.PDK.v5.0.SP5
Dolphin.Solutions.2013.Q2.1.Windows
Dolphin.Integration.Smash.v6.10
Dolphin.Integration.SoC.GDS.v6.10.0
HDL.Works.HDL.Companion.v2.8.R2.for.Windows
HDL.Desing.Entry.ConnTrace.v1.2.R1.for.Windows
HDL.Works.HDL.Design.Entry.EASE.v8.4.R3.for.Windows
HDL.Works.HDL.Desing.Entry.ConnTrace.v1.3.R1.for.Windows
HDL.Works.IO.Checker.v3.3.R4.for.Windows
Sigasi.Studio.v4.15.0
Sigasi Studio v4.7 Win32_64
Aldec Active-HDL v13.0.375.8320 Win64
Aldec Active-HDL 12.0.118.7745 Win64
Aldec Active-HDL v10.1 Win32
Aldec.Riviera-PRO.2017.02.99.Win32
Aldec.Riviera-PRO.2015.02.76.Win32_64
Aldec Riviera-PRO 2014.06 Win32_64 & Linux
Aldec Riviera-PRO 2014.2 Win64
Aldec Riviera-Pro v2013.10.81 Win32_64
Aldec ALINT Pro 2021.09 Win64
Aldec.ALINT.Pro.2020.07.Win64
Aldec.ALINT.v2012.12.SR2.Win32_64
ARM Cortex A7 MPCore R0p5 Linux
ASAP7 PDK v1p7 Linux
CodeWarrior for Microcontrollers v6.3
FuzzyTECH Pro v5.54
ImageCraft.ICCAVR.Professional.v6.31a
Keil MDK v5.38a
Keil MDK v5.38 + DFP
Keil MDK5 Software Packs DFP Build 20221505
Keil MDK-ARM v5.36
Keil.products.from.ARM.2015.1.Suite
Keil C51 v9.6.1
Keil C166 v7.57
Keil C251 v5.60
Keil.Professional.for.C51.v9.0
Devices
Keil RealView Microcontroller Development Kit 4.70
Keil MDK-ARM v5.28a
Keil MDK-ARM v5.22 Legacy Support
Keil RL-ARM v4.13
Melsoft iQ Works v1.43-ISO
Magma FineSim Pro v2010.08 Linux
Proteus Professional 8.15 SP1 Build 34318
Proteus Pro 8.15 SP1 Portable Win64
Proteus Professional 8.15 SP0 Build 33980
Proteus Professional v8.14 SP0 Win64
Proteus Professional v8.13 SP0 Build 31525
Proteus 8.5 SP1 with Advanced Simulation
Proteus Design Suite 8.5 SP0
Proteus Pro v8.5 SP0 Build 22067 Portable
Proteus Design Suite v8.4 SP0
Proteus 8.3 SP2 with Advanced Simulation
Proteus.Pro.v8.0.SP1
CodeWarrior Development Studio 2019.1 Win64 & Linux64
Wise.Software.Solution.GerbTool.v16.7.6
Wise.Software.Solution.VisualCAM.v16.7.82
Coware LisaTek.2005.1.1 for WinALL
QNX.Momentics.Development.Suite.Professional.Edition.v6.3-ISO
Zuken.CR5000.Board.Designer/System.Designer.v14.0-ISO
Zuken.CR5000.Board.Designer/System.Designer.v12.0-ISO
Zuken CADSTAR v16.0
Zuken Cadstar 3D v5.0
Zuken.CadStar.Desktop.Design.v8.0
Zuken.Hotstage v4.21
Zuken E3 series 2022 SP2 v23.20 Win64
Zuken E3 series 2021 SP2 v22.20.0.0 Win64
Zuken E3.series 2019 P3 Build 20.03 Multilingual Win32_64
Zuken.E3.series.2017.v18.12.Win32_64
Zuken E3.series 2016 v17.00
Zuken E3.Series 2015 v16.2016.1581.0 Multilanguage Win7_8
Zuken.E3.series 2015 v16.03 Update
E3.Series.2012.Win32-ISO
Forsk.Atoll.v3.3.2.10366.Win32_64
NI AWR Design Environment 22.1 (17.01R Build 17442 Rev2) Win64
NI AWR Design Environment 16.02R Win64
NI AWR Design Environment v16.0
Cadence AWR Design Environment v15.01.030 Win64
NI AWR Design Environment 14.0r build 9138 Rev4 (112340) Win64
NI AWR Design Environment 13.02 Win64
NI AWR Design Environment Analyst v13.02.8379.1 Win64
NI AWR Design Environment v12.01 Win64
AWR Microwave Office v14.0.9138.4 Win64
AWR Microwave Office v11.0 Win64
AWR.Design.Environment.v10.04
Filter Wiz Pro v4.26
Nuhertz Filter Solutions 2019 v16.3.6
Nuhertz Filter Solutions 2019 v16.2.0
Nuhertz Filter Solutions 2015 v14.1.0
Nuhertz Zmatch v4.0.4
Accelerated.Designs.UltraLibrarian.Gold.v5.3.409
BluePearl HDL Creator 2020.3.59331 Win64
BluePearl Visual Verification Suite(VVE) 2020.1.58268 Win64
PCBM LP Provisional v2009.20.00
Ixhariot v6.70
Ascom TEMS CellPlanner v9.1.0.95 Win32_64
Ascom TEMS Invistigation v14.6
EXata v5.3 Win64
Gatevision Pro v5.5.2 Win32
SpiceVision v5.1.3
ARM Development Studio 2023.0 Windows
ARM Development Studio 2022.2 (build 202220912) Gold Edition Win64
ARM DS-5 Development Studio 5.27.0 Win64
ARM DS5 with RVCT v5.7-ISO
ARM.RealView.Developer.Suite
ARM Socrates 2019 Linux32_64
Actix.Analyzer.v5.5.349.850.Win64
Actix.Analyzer.v5.5.338.385.Win32_64
ADT(Analog Designer's Toolbox) v1.1.8 Windows & Linux
Atoll v2.8
AutoVue.Electro-Mechanical.Pro.v20.2.1.Win32
Bluespec.v2009.10B.Linux
Bruker Topspin v3.0
Circuit Wizard Education 1.5
Cliosoft SOS v7.05.p3 Linux64
Cohesion AMS Designer v6.0
Cohesion Designer 6.0 for Linux
Cohesion Design Systems v5.11
COORD10 v6.22
CopperCAM v25032016
CopperCAM v2010.01.26
Control.Station.Loop-Pro.Tuner.v1.9.5879.20182
CSiEDA v5.7.2
Dolphins.Volts.v6.10
AMIQ DVT eclipise 2021 v21.1.41-e419 Linux64
DVT Eclipse v18.41 Win32_64
DVT Eclipse v18.41 Linux32_64
IMST.EMPIRE.XCcel.v6.00-ISO
MagCAD.v2.3.4.WinALL
DEWESoft.X.2023.3.Build.25.05.2023.Win64
EMIT.Maxwell.v5.9.1.20293
Empyrean AetherFPD LE 2019.06.SP3 Linux32_64
Edison v4.0
EndNote X 7.2 Windows
Engineering.Power.Tools.v2.0.5
ETS4 version 4.0.6 Professional
FEMM v4.2
Fitec.Schemaplic.v7.6.1151.0
Forte Cynthesizer v3.60 Linux
GT Works/GT Designer v3 1.40S-ISO
MELSEC GT-Works3 v1.37P-ISO
IC-EMC v2.2.4
Cadenc EMX INTEGRAND v6.3.10 Linux
Integrand EMX with Virtuoso Interface v6.0 Linux
Integrand EMX with Virtuoso Interface v5.7 And Modelgen v2.2 Linux64
IUE soft Minimos v6.1 Win32
IX1D.v3.35
Konekt.Electra.v6.44
LAVENIR v2001
MakeDo 2001 v4.22
MCC 2012.02.00.d Linux64
Microwind v3.8.1.1
Monitouch V-SFT 2009 v5.4
MotorSolve v4.11 & MagNet v7.5 & ThermNet v7.5 & ElecNet v7.5 & OptiNet v7.5
NanDigits Gates On the Fly (GOF) 5.5.4 Linux32_64
Optenni.Lab.4.3.SP5.Win64
PC|SCHEMATIC Automation 14.02
PCB footprint Expert Enterprise 23.08
PCB Footprint Expert 2021.14 Pro
Footprint Expert Pro 2022.07
Pro-face GP-Pro EX Ver. v4.09.100
Pro-face GP-Pro EX 4.08.100
Pro-face GP-Pro EX v4.03
Portunus.v5.2
Ranorex Studio Premium v9.3.4
Slicedit Pro 2018 Linux64
SignalLab SIGVIEW 3.2.0
Syscalc v4.0
TSMC MC2 (MemoryCompiler) 2007.11.00 for CRN40LP
ProfiCAD 12.2.4 Multilingual
Plexim Plecs Standalone v4.7.3 Win64
Plexim PLECS Standalone 4.5.6 Win64
Plexim Plecs Standalone 4.1.2 Win32_64
Plexim.Plecs.Standalone.v3.7.5.for.Linux32_64
Plexim.PLECS.Standalone.&.Blockset.v3.6.1.Win32_64
Pioneer.Hill.Software.SpectraPLUS.v5.0.26.0E
Docklight Scripting v1.9
MyCAD MyChip 2005
MyCAD.MyVHDL.v5.1
Specctra ShapeBased Automation Software v15.1-ISO
OVPsim v20120614.0
Number One Systems Easy-PC PCB v16.09.0 Full
SEE Electrical for IEEE (USA and Canada) 8R2 SP10 v8.2.10.1
See Electrical 7 R2 B11
See Electrical V5r1 5.1 Win32_64
Sidelinesoft NL5 Circuit Simulator 2.2.2
Spyglss v4.2 Linux32
STMicroelectronics STM32CubeProgrammer 2022 v2.11.0
STMicroelectronics STM32 CubeIDE v1.9.0 Win64 & Linux64
STMicroelectronics STM32 ST-LINK Utility v4.6.0
STM32CubeIDE 1.10.1 12716 Win64
STM32CubeMX 6.6.1 win32_64
DesignSoft Tina v9.3.50 Industrial
Tina Pro v9.3.50
Tina Pro v6.0
Tina.Industrial.Pro.v8.0.with Manual
VectorCast 2022 R8 Win64
Vivado and ISE Design Suites 2012.2 v14.2
Xilinx DSP Tools v9.2.01.1028
Xilinx EDK v9.2.01i
Xilinx EDK 9.2i WinALL-ISO
Xilinx Syetem Generator v8.2.01
Xilinx.ISE.Design.Suite.v14.6.WinALL-ISO
Xilinx.Embedded.Development.Kit.v6.3i
Xilinx.Vivado.Design.Suite.2019.2
Xilinx.Vivado.Design.Suite.2015.2-ISO
Xilinx.Vivado.Design.Suite.2014.4-ISO
Cosmic.Software.Suite.v10.2008-ISO
MikroBasic Pro for AVR 2009 v1.5
MikroC for PIC v7.2.0
MikroC Pro PIC v5.4
Oshonsoft.AVR.Simulator.IDE.v2.36
AFT Impulse 8 Build 8.0.1100
Eagleware Genesys 2004.07 Final
EPLAN API 2.7.3.11418 Win64
Eplan Cabinet v2.0.5.4291 MultiLanguage-ISO
EPLAN Electric P8 v2023.0 Build 19351 Win64
EPLAN Electric P8 2022 Win10 64bit
EPLAN Electric P8 2022.0 v2022.0.3.17561 Win64
Eplan Electric P8 2.4 Multilanguage.Win32_64-ISO
EPLAN Harness proD v2023.0.0.257 Multilingual Win64
EPLAN Harness proD 2.9 Win64
EPLAN Harness proD Studio 2.5.0.40 Win64
EPLAN P8 EEC One 2.6
EPLAN Fluid v2023.0.3.19351 Win64
EPLAN Fluid 2022.0 v2022.0.3.17561 Win64
EPLAN Fluid Hose Configurator 2.7 Win64
EPLAN Pro Panel v2023.0.3.19351 Win64
EPLAN Pro Panel v2023.0.3.19351 Multilingual Win64
EPLAN Pro Panel v2022.0.3.17561 Multilingual Win64
Eplan.P8.Fluid.v2.4.4.8366 Win32_64
EPLAN Pro Panel 2.9 SP1 Update 5 Win64
Eplan P8 Pro Panel 2.6 Win32_64-ISO
EPLAN PPE v2.6 Build 10395 Win64
Eplan P8 PPE v2.4.4.8366 Win32_64
EPLAN.Platform.v2.4.Hotfix.2.Win32_64
Eplan.Professional.v5.5-ISO
HyperLynx Simulation Software v5.01 Update
MikroElektronika.Compilers.and.Software.Tools.2017.09 2
NI.Circuit.Design.Suite.Power.Pro.v11.0.1
PathLoss.v5.0
PicBasic Pro v2.46
Impulse CoDeveloper 3.70.d.11 (C-to-FPGA) Windows
Impulse.CoDeveloper.Universal.Pro.v3.60.a.2
Imperas Open Virtual Platforms (OVP) 2011.09.06.3
Sigrity SpeedXP Suite v12.0.2 Win32-ISO
Sigrity SpeedXP Suite v11.0 Win64-ISO
Trolltech Qt Commercial v4.4.3 WinALL & Linux & MacOSX
VIRTINS Multi-Instrument v3.2
Zuken E3.series 2017 v18.10 Win32_64
Zuken.E3.Series.v2009-ISO
Zeland IE3D v15.0
Electronics.Workbench.Ultiboard.v9.0.155
Electronics.Workbench.Ultiroute.for.Ultiboard.v9
Multisim v11.0
Multisim v10.1-ISO
Altair HyperWorks FEKO v14.0.410 Win64 & Linux64
Altair FEKO v14.0.Win64.&.Linux64
EMSS FEKO Altair HWU 7.0.2 Win64 & Linux64
FEKO v7.0.0 Win32_64
FEKO v6.3 Win64
SuperSpice v2.2.147
Polar Instruments 2011 v11.04
Generator 10.01)
Polar SB200a Professional v6.0
Polar.Si8000.2009.v10.01.Windows
Polar.Instruments.SI8000.v6.1.0.WinALL
Polar.Instruments.SI9000.v7.10.WinALL
Polar Speedstack 2009 v10.01
CAM Expert v2.0.4.8
RSI CAMCAD Pro v4.5.1003
Holophase.CirCAD.v4.20e
SB200.StackUp.Builder.v6.2
Pulsonix 8.5 Build 5905
Intel (ex Altera) Quartus Prime v22.3 Pro 10DVD
Altera Quartus Prime Pro 20.1 Windows
Altera Quartus Prime Standard Edition 16.1 Update 2 + MegaCore IP 16.1.2.203 Win64
Altera.Quartus.Prime.17.0.Win64
Altera Quartus Prime 17.0 Linux
Altera Quartus Prime v15.1 With Update2 Win64 & Linux64
Altera Quartus.II.v15.0.Win64
Altera Quartus II v14.1 Windows & Linux
Altera Quartus II v14.1 Update1 Only Win64
Altera.QUARTUS.II.v13.1.0.162.Full.Working.Win32_64
Altera.Quartus.II.SoC.Embedded.Design.Suite(EDS).v13.1.0.162.Win32_64
Cortex-A9
Altera Max Plus II 10.2
Altera.Quartus.II.SoCEDS.v13.1.0.162.Linux32_64
Altera.QUARTUS.II.Nios.II.Embredded.Suite.v9.0.Windows-ISO
Altera.QUARTUS.II.DSP.Bulider.v9.0-ISO
Altera.QUARTUS.II.v8.0.Modelsim.v6.1g-ISO
Altera.QUARTUS.II.Megacore.IP.Library.v7.2.SP3.Full.Working-ISO
Altera.ModelSim.v10.3d
CoventorMP v2.100 Build 2022-06-03 Win64
CoventorMP v2.101 Build 2022-09-07 GOLD Linux64
CoventorMP v2.000 Build 2021-08-31 for Win10 64bit
CoventorMP 1.300 build 2020-06-30 Win64
Coventor SEMulator3D v9.3.0.0 build 2021-09-25 Win64
CoventorWare 2015 v10.000
CoventorWare v2012.0
CoventorWare v2004
Coventorware MEMSplus v4.0 2013 10 31 1750 GOLD
Coventorware MEMS+ v3.1 Win64
Coventorware MEMS+ 2.1 Win32
Intellisuite 8.5 3D Builder
LayoutEditor.v2009.10.14
Visionics.EDWinXP.Professional.v1.80
Autodesk EAGLE Premium 9.6.1 Win64
Autodesk.EAGLE.v8.3.1.MacOSX
CadSoft Eagle Professional v7.7.0
CadSoft.Eagle.Professional.v7.6.0.Multilingual.Win32_64
DipTrace 4.3.0.1 Win64
DipTrace 4.1.1 Win32_64
DipTrace 3.2.0.1 with 3D Library Multilingual Win32_64
EAGLE.PCB.Power.Tools.v5.06
CDEGS.2000.v9.4.3-ISO
PSS/E Xplore v34.3.2 Win32_64
PSS/ADEPT v5.16
PSS E v33.40
PSS/E v32.03-ISO
PSS/E v31.0-ISO
PSS Viper v3.0.4
OMICRON IEDScout v4.20 Win32_64
Omicron Test Universe 4.2 Win64
PSCAD v4.5 Win32_64-ISO
PSCAD v4.25 Win32
PSCAD v4.21 Win32_64-ISO
Pls-Cadd v16.2 Full-ISO
PLS-CADD v13.2 FULL
PLS-CADD v12.3-ISO
PLS CADD v9.20
PLS.CADD.POLE.SAPS.Tower.v9.20.portable
Electrocon International CAPE 2010-ISO
CYME v9.0 Rev 04 Win64
CYME CYMCAP v8.1 rev. 3 Build 88
CYME CYMCAP v7.2 rev2
CYME 7.1 Rev 02
Edsa Technical 2000 SP3.5 Rev1a-ISO
ABB PEL software PEL Suite release 23.0 repack
ATP-EMTP v11.4
ATPDRAW v5.7 for WinALL
DigSILENT PowerFactory 2021 SP2 Win64
DigSILENT PowerFactory v15.2.7
DIgSILENT Power Factory 2016 SP3 Win32_64
ICS.Triplex.ISaGRAF.v5.13.309
InTouch v10.1-ISO
ViDEC.MelSYS.v4.0.SP1.MultiLanguage-ISO
Neplan v5.5.8
Neplan v5.55.WinALL
NoMachine v7.10.2
SatHunter.v2.5.0.62
Scopview 2010b
SKM.PowerTools .v7.0.2.4
SKM.PowerTools .v7.0.2.4 Win8 64bit
Sonnet Suite Pro v18.52 Windows
Sonnet & Blink v15.54 Linux32_64
Spectrum.Micro-Cap (Microcap).v12.2.0.5.Win32_64
Spectrum.Micro-Cap.v11.0.2.0.Win32_64
Spectrum.Micro-Cap.v11.0.1.9.Full.Win32_64
SuperNEC v2.9-ISO
SynaptiCAD.Product.Suite.v20.24
SynaptiCAD.Product.Suite.v19.00c.Linux64
SuperWORKS v7.0
SuperWORKS
Code Composer Studio(CCS) v6.0.1.00040.Win32
TI.Code Composer Studio v5.21-ISO
DeviceXPlorer OPC Server 2007 v4.2.1.0004
EMTP-RV (EMTPWorks) v4.2.1
GENESIS32 v7.2
DAQFactory Pro v5.87a Build 1972
FactoryTalk View Studio 2019 v11.00.00 Build CPR 9 SR 11
MHJ-Software PLC-Lab Pro 2.3.0
Movicon v11.3
Progea Movicon.NExT v3.4.263
PCFLO v6.0
Power World Simulator v8.0
System Configurator (SyCon) Hilscher v2.9 Schneider Electric Win32-ISO
Mentor.Graphics.AMS.v13.1.ELDO.Win32
Mentor.Graphics.AMS.v2011.1 Win32_64
Mentor.Graphics AMSV 2021.1 Linux
Mentor.Graphics.Board.Station.XE.Flow
Mentor.Graphics.BST.v2004.Spac5.Linux.DVD-ISO
Mentor.Graphics.Certe.Testbench.Studio.2011.3a.Linux
Mentor.Graphics.CodeSourcery.CodeBench.v2011.03.Win32



Pls mail to: yamile5678#hotmail.com change # into @
=========
Reply


Messages In This Thread
neoTextil 8.1.1 - by davy_agten99 - 08-14-2023, 12:34 AM

Forum Jump:


Users browsing this thread: 5 Guest(s)